デバッグソリューションズホームへ

1.はじめに

2.使用される単語

3.文法定義表記方法

4.文法定義

4.1 BSDLの構造

4.2 Generic

parameter文

4.3 Logical port

description文

4.4 Standard use文

4.5 Use文

4.6 Compornent

conformance文

4.7 Device package

pinmappings文

4.8 Grouped port

identification文

4.9 Scan port

identification文

4.10 Compliance enabel

description文

4.11 Instruction register

description文

4.12 Optional register

description文

4.13 Register access

description文

4.14 Boundary register

description文

4.15 RUNBIST

description文

4.16 INTEST description

4.17 User extensions

to BSDL

4.18 Design Warning文

 

5.Standard VHDL

Package

5.1 1990年版

5.2 1994年版

5.3 2001年版

 

6.各バージョンにおける

  DEBSOLホームへ

 

4.7 Device package pinmappings 

device package pin mappings 文はデバイスの論理的な信号名(ピン名)と物理的な信号名(ピン番号)とを結びつける働きをします。

<device package pin mappings> ::=

<pin map statement>

<pin mapppings>

<pin map statement> ::=

attribute PIN_MAP of <component name>

: entity is PHYSICAL_PIN_MAP;

<pin mappings> ::= <pin mapping> { <pin mapping> }
<pin mapping> ::=

constant <pin mapping name>

: PIN_MAP_STRING := <map string>;

<pin mapping name> ::= <VHDL identifier>
<map string> ::= W <port map> {, <port map> } W
<port map> ::= <port name>:<pin list>
<pin list> ::=

<pin ID>|( <pin ID> { , <pin ID> } )

<pin ID> ::= <VHDL identifier> | <integer>

<conformance identification>が STD_1149_1_1990 であれば IEEE Std.1149.1−1990に対応しており、STD_1149_1_1993 であれば IEEE Std.1149.1a−1993 に対応しています。

 

例:

attribute PIN_MAP of xx74bct8244a : entity is PHYSICAL_PIN_MAP;
constant JT : PIN_MAP_STRING :=WOE_NEG1:1, Y1:(2,3,4,5),W &
WY2:(7,8,9,10), A1:(23,22,21,20),W &
WA2:(19,17,16,15), OE_NEG2:24, GND:6,W &
WVCC:18, TDO:11, TDI:14, TMS:12, TCK:13W;
constant DW : PIN_MAP_STRING := WOE_NEG1:1, Y1:(2,3,4,5),W &
WY2:(7,8,9,10), A1:(23,22,21,20),W &
WA2:(19,17,16,15), OE_NEG2:24, GND:6,W &
WVCC:18, TDO:11, TDI:14, TMS:12, TCK:13W;

attribute PIN_MAP of に続く component name は “4.1 generic parameter 文” で定義された component name が使用されます。

constant に続く pin mapping 宣言文は、デバイスのパッケージ毎のピン配置を定義しています。機能は同じで、複数のPKGの種別(DIP,SOP,BGA等)がある場合、pin mapping 文を複数宣言することで、一つのBSDLで表記することができます。<port name>:<pin list> で信号名をピン番号に結びつけます。<port name>はLogical port description文で宣言された信号名が使用され、bit_vector でバス宣言された信号は<pin list>でバスの数だけピン番号が定義されます。